RATIONAL DESIGN OF NON-DAMAGING CAPACITIVELY COUPLED PLASMA ETCHING AND PHOTORESIST STRIPPING PROCESSES FOR ULTRALOW K DIELECTRIC MATERIALS

dc.contributor.advisorOehrlein, Gottlieb S.en_US
dc.contributor.authorKuo, Ming-Shuen_US
dc.contributor.departmentMaterial Science and Engineeringen_US
dc.contributor.publisherDigital Repository at the University of Marylanden_US
dc.contributor.publisherUniversity of Maryland (College Park, Md.)en_US
dc.date.accessioned2010-07-02T05:51:51Z
dc.date.available2010-07-02T05:51:51Z
dc.date.issued2010en_US
dc.description.abstractResistance-capacitance delay, crosstalk, and power dissipation associated with the increasing capacitance of interconnect structures limits the performance of high-speed microelectronics and leads to the demand for porous ultralow dielectric constant (ULK) material introduction. Process integration of ULK dielectrics requires plasma etching of dielectric material, stripping of the post-etching photoresist (PR) mask, and surface cleaning of plasma-etching-related residues, without damaging the dielectric. Dual frequency capacitively coupled plasma (CCP) reactor are becoming the standard for etching of ULK materials. In this work, we evaluated ULK-compatible PR stripping using both remote plasma and in situ ashing processes coordinated with CCP fluorocarbon (FC)-based ULK etching. Remote H2 plasma enabled a high PR ashing rate while introducing little ULK damage at an elevated substrate temperature (275 °C), and was the best for our remote plasma ashing processes. In situ ashing, with the advantage of no need for an additional dedicated reactor, is preferable to the remote plasma ashing for industry, and we studied in detail CO2 in situ ashing process. The ULK damage introduced during CO2 in situ ashing increased with atomic oxygen density as a function of chamber pressure. To compare the performance of different ashing processes for PR stripping from ULK material, we introduced an ashing efficiency (AE) parameter which is defined as the thickness of PR removed over the thickness of ULK simultaneously damaged, and can be considered a process figure of merit. A high AE can be obtained under low pressure operation, which suppresses ULK damage with minimal atomic oxygen while combining with a RF bias to enhance the PR ashing rate. The preceding ULK etching process using 10% C4F8/Ar plasma deposits FC coating on ULK feature sidewalls. For H2-based remote plasma at high temperature, most of FC coating was removed rapidly and its impact on ULK ashing damage was minor. For CO2 in situ ashing, FC coating remained on ULK sidewalls and provided effective protection of ULK. FC protection was essential for the success of the CO2 in situ ashing process. A strong decrease of ULK post-ashing damage with increasing FC coverage was found, which may be due to surface protection by FC surface coverage along with pore-sealing by the FC material.en_US
dc.identifier.urihttp://hdl.handle.net/1903/10310
dc.subject.pqcontrolledEngineering, Materials Scienceen_US
dc.subject.pquncontrolled193 nm (ArF) photoresisten_US
dc.subject.pquncontrolledOrganosilicateen_US
dc.subject.pquncontrolledPorous SiCOHen_US
dc.subject.pquncontrolledSiCOHen_US
dc.subject.pquncontrolledUltralow k dielectric materialsen_US
dc.titleRATIONAL DESIGN OF NON-DAMAGING CAPACITIVELY COUPLED PLASMA ETCHING AND PHOTORESIST STRIPPING PROCESSES FOR ULTRALOW K DIELECTRIC MATERIALSen_US
dc.typeDissertationen_US

Files

Original bundle
Now showing 1 - 1 of 1
Loading...
Thumbnail Image
Name:
Kuo_umd_0117E_11142.pdf
Size:
1.85 MB
Format:
Adobe Portable Document Format