MULTIPLEXED CHEMICAL SENSING AND THIN FILM METROLOGY IN PROGRAMMABLE CVD PROCESS.

Loading...
Thumbnail Image

Files

umi-umd-2977.pdf (1.5 MB)
No. of downloads: 1412

Publication or External Link

Date

2005-12-02

Citation

DRUM DOI

Abstract

Mass spectrometry (mass spec) has proven valuable in understanding and controlling chemical processes used in semiconductor fabrication. Given the complexity of spatial distributions of fluid flow, thermal, and chemical parameters in such processes, multi-point chemical sampling would be beneficial.

This dissertation discusses the design and development a multiplexed mass spec gas sampling system for real-time, in situ measurement of gas species concentrations in a spatially programmable chemical vapor deposition (SP-CVD) reactor prototype, where such chemical sensing is essential to achieve the benefits of a new paradigm for reactor design. The spatially programmable reactor, in which across-wafer distributions of reactant are programmable, enables (1) uniformity at any desired process design point, or (2) intentional nonuniformity to accelerate process optimization through combinatorial methods. The application of multiplexed mass spec sensing is well suited to our SP-CVD design, which is unique in effectively segmenting the showerhead gas flows by using exhaust gas pumping through the showerhead for each segment.  In turn, mass spec sampling signals for each segment are multiplexed to obtain real-time signatures of reactor spatial behavior. In this dissertation, we have reported the results using inert gases to study the spatial distributions of species, validate SP-CVD reactor models, and lead to an understanding of fundamental phenomena associated with the reactor design. 

This novel multiplexed mass spec sensing system has been employed to monitor the process among three segments in real time. Deliberate non uniform W SP-CVD was performed using H2 reduction of WF6. A process based metrology, which reflects the relationship between the process recipe and film thickness was established. From the process based metrology, a recipe for uniform film deposition was determined and the re-programmability of the SP-CVD system was proven. Meanwhile, a mass spec sensor based film thickness metrology, which reflects the relationship between the normalized mass spec signal and film thickness, was built. Mass spec sensor based thickness metrology with precision of 2~4% was obtained, approaching the desired range of thickness control precision. 

The scientific contributions from this work are summarized as two points: (1) spatially resolved in situ sensing metrologies have been developed for real-time advanced process control; and (2) the results of this sensing methodology not only demonstrates real-time spatially-distributed end point control, but also makes it possible to guide rapid reprogramming of process recipes intended to achieve simultaneous high material quality and uniformity, or to serve as a valuable asset to potential combinatorial experimental capabilities of the SP-CVD reactor.

Notes

Rights