RATIONAL DESIGN OF NON-DAMAGING CAPACITIVELY COUPLED PLASMA ETCHING AND PHOTORESIST STRIPPING PROCESSES FOR ULTRALOW K DIELECTRIC MATERIALS

Loading...
Thumbnail Image

Files

Kuo_umd_0117E_11142.pdf (1.85 MB)
No. of downloads: 2140

Publication or External Link

Date

2010

Citation

DRUM DOI

Abstract

Resistance-capacitance delay, crosstalk, and power dissipation associated with the increasing capacitance of interconnect structures limits the performance of high-speed microelectronics and leads to the demand for porous ultralow dielectric constant (ULK) material introduction. Process integration of ULK dielectrics requires plasma etching of dielectric material, stripping of the post-etching photoresist (PR) mask, and surface cleaning of plasma-etching-related residues, without damaging the dielectric. Dual frequency capacitively coupled plasma (CCP) reactor are becoming the standard for etching of ULK materials. In this work, we evaluated ULK-compatible PR stripping using both remote plasma and in situ ashing processes coordinated with CCP fluorocarbon (FC)-based ULK etching. Remote H2 plasma enabled a high PR ashing rate while introducing little ULK damage at an elevated substrate temperature (275 °C), and was the best for our remote plasma ashing processes. In situ ashing, with the advantage of no need for an additional dedicated reactor, is preferable to the remote plasma ashing for industry, and we studied in detail CO2 in situ ashing process. The ULK damage introduced during CO2 in situ ashing increased with atomic oxygen density as a function of chamber pressure. To compare the performance of different ashing processes for PR stripping from ULK material, we introduced an ashing efficiency (AE) parameter which is defined as the thickness of PR removed over the thickness of ULK simultaneously damaged, and can be considered a process figure of merit. A high AE can be obtained under low pressure operation, which suppresses ULK damage with minimal atomic oxygen while combining with a RF bias to enhance the PR ashing rate. The preceding ULK etching process using 10% C4F8/Ar plasma deposits FC coating on ULK feature sidewalls. For H2-based remote plasma at high temperature, most of FC coating was removed rapidly and its impact on ULK ashing damage was minor. For CO2 in situ ashing, FC coating remained on ULK sidewalls and provided effective protection of ULK. FC protection was essential for the success of the CO2 in situ ashing process. A strong decrease of ULK post-ashing damage with increasing FC coverage was found, which may be due to surface protection by FC surface coverage along with pore-sealing by the FC material.

Notes

Rights